688IT编程网

688IT编程网是一个知识领域值得信赖的科普知识平台

虚拟

虚拟装配中基于精确模型的碰撞检测算法

2024-03-21 15:32:03

虚拟装配中基于精确模型的碰撞检测算法I. 引言A. 研究背景B. 研究目的C. 研究意义II. 相关技术A. 虚拟装配技术B. 精确模型技术C. 碰撞检测算法III. 基于精确模型的碰撞检测算法设计A. 前置处理1. 模型导入与处理2. 边缘检测与约束处理B. 碰撞检测1. 轴对齐包围盒算法2. 基于分离轴的碰撞检测算法3. 梯形切割算法IV. 实验与结果分析A. 实验设计B. 算法性能测试1....

使用NIM备份和恢复虚拟IO服务器(VIOS)

2024-03-21 01:04:57

使用 NIM 备份和恢复虚拟 I/O 服务器(VIOS)引言虚拟 I/O 的概念 虚拟 I/O 设备由虚拟 I/O 服务器提供,虚拟 I/O 服务器为用户提供接入虚拟设备基于的真实硬件。多个分区可同时使用共享的设备和适配器,设备的共享由管理物理资源的虚拟 I/O 服务器提供支持。这些共享资源在每个分区看来都是虚拟设备或虚拟适配器。虚拟 I/O 服务器是连接虚拟设备和实际物理硬件的纽带 , 它运行在...

Mac命令行的虚拟桌面技巧利用VNC和远程桌面

2024-03-20 20:27:05

Mac命令行的虚拟桌面技巧利用VNC和远程桌面最近,越来越多的人开始在Mac系统上进行命令行操作,以便更高效地完成各种任务。在这篇文章中,我将向大家介绍一些利用VNC和远程桌面的技巧,帮助你在Mac命令行中实现虚拟桌面。一、VNC的基本概念和使用方法VNC是Virtual Network Computing的缩写,是一种用于远程控制桌面的技术。在Mac系统中,我们可以使用VNC来实现在命令行中创建...

esx 部分CLI命令

2024-03-20 19:34:08

esx 部分CLI命令vmware esx 上的命令行--更改Service Console的IP地址esx上特有的命令很多,有些是很方便,就用这个来记录我平时在esx上用到的命令1:看你的esx版本。vmware -v2:列出esx里知道的服务esxcfg-firewall -s3:查看具体服务的情况esxcfg-firewall -q sshclinet4:重新启动vmware服务servic...

ssh远程执行命令方法和Shell脚本实例

2024-03-20 19:00:50

ssh远程执⾏命令⽅法和Shell脚本实例写这篇博客之前,我google了⼀堆相关⽂章,⼤都是说修改/etc/sudoers,然后NOPASSWD:指定的cmd,但是真⼼不管⽤,没有远程虚拟终端这个⽅法就是浮云,ubuntu10.04 server 亲测!!ssh执⾏远程操作ssh命令指定端口命令格式复制代码代码如下:ssh -p $port $user@$p 'cmd'$port : ssh连接...

国家开放大学《计算机网络》(本)形考任务2参考答案

2024-03-20 16:51:58

国家开放大学《计算机网络》(本)形考任务2参考答案红字体为答案一、选择题1.局域网的分类,按网络的拓扑结构划分,可分为星型、()和环型局域网。A.网状型B.总线型C.树型D.网格型2组建局域网的硬件设备主要有 (  )、中继器、集线器和以太网交换机等。A.读卡器B.调制解调器C.网络适配器D.无线路由器3.网络适配器又称(),是计算机间进行网络互联的重要设备。A.显卡B.网卡C.总线...

利用虚拟机提高网络实训教学效果应用研究

2024-03-20 10:28:14

利用虚拟机提高网络实训教学效果应用研究摘要:在计算机专业教学中引入虚拟机作为辅助手段,目前已成为计算机教学领域重要的教学辅助方法,也被计算机教学工作者进行了较多的研究和探讨。本文主要介绍虚拟机技术配合vmware虚拟机软件进行网络实训教学的具体应用。关键词:虚拟机;vmware;网络实训中图分类号:g642 文献标识码:a 文章编号:1007-9599 (2012) 18-0000-021 引言在...

VM虚拟化搭建

2024-03-20 10:18:19

第一部分现在暂时开启有4台虚拟机:1.DC[win server 2008 sp2]IP:192.168.1.40掩码:255.255.255.0域名: os2.View view administrator[win server 2008 r2]ip:192.168.1.42 掩码:255.255.255.0dns:192.168.1.40 域名: os3.vCenter Ser...

两个分区安装四个系统

2024-03-20 08:17:32

两个分区安装四个系统作者:雷越来源:《电脑爱好者》2015年第17期        Windows 10已经发布,然而由于Windows XP太经典也太优秀,因而还有不少人连Windows 8甚至Windows 7都没用过,便又迎来了Windows 10的更新诱惑。由于微软对Windows XP放弃支持,这次不升级恐怕说不过去了。但对于只有一个XP系统分区和...

零成本实现固态硬盘给机械硬盘加速

2024-03-20 06:50:02

windows server 2012是什么系统零成本实现固态硬盘给机械硬盘加速    之前我们聊过用内存给固态硬盘加速的话题:然并卵?实测看用内存软件加速固态硬盘是否有用,而今天的测试内容有所不同,这次我们用固态硬盘来给大容量的机械硬盘加速。相比内存断电后数据全丢来说,固态硬盘的闪存作为非易失性存储介质的安全性更高一些。下面的测试使用东芝Q300 240G固态硬盘与西部数据6...

openvpn配置教程

2024-03-19 16:14:02

基于 Linux 的 OpenVPN 网络 OpenVPN 概述 OpenVPN 是一个开源的加密隧道构建工具, 基于 OpenSSL 的 SSL/TLS 协议, 可以在 Internet 中实现点对点的 SSL VPN 安全连接。使用 OpenVPN 的好处是安全、易用和稳定,且认证方式灵 活,具备实现 SSL VPN 解决方案的完整特性。OpenVPN 可以应用于 Linux、Unix、Mac...

云端时代桌面云架构介绍(CTVI)

2024-03-19 15:03:50

1 产品概述............................................................................................................ 12 系统架构.................................................................................

2022年云计算工程技术员考试试题(带答案)

2024-03-19 14:38:35

2022年云计算工程技术考试试题1.关于 FusinAccess 中的TC 终端接入安全认证流程,下面描述不正确的是?A.交换机在检测到TC 发来的认证请求后,将认证信息通过Radius 协议发到AD 服务器处。B.TC 检测到证书后,会自动发起到交换机的EAP-TLS 的8021x 双向证书认证。C.TC 上的证书是根据TC 信息从CA.上申请签发下来的。D.TC 开机后会检测自身是否有证书,没...

第1章 NIMultisim11概述

2024-03-19 11:42:03

第1章  NI Multisim 11概述NI Circuit Design Suite 11是美国国家仪器有限公司(National Instrument,NI)下属的Electronics Workbench Group于2010年1月推出的以Windows为基础、符合工业标准、具有SPICE最佳仿真环境的NI电路设计套件。该电路设计套件含有NI Multisim 11和NI Ult...

MULTISIM虚拟扬声器仿真使用例说

2024-03-19 11:01:51

绝大多数人,尤其是青少年对声、光的刺激相对来说显得较为敏感,也容易产生兴趣,所以青少年学生参与电子制作初期所使用的电路历来注重声光效果。这种效果在面包板、实验箱、万能板上进行电路搭建或制作不难达到,我在此不想赘述。其实,电路的声光效果用仿真软件一样能实现,在multi-sim中就有各种发光元器件,如灯泡、各种颜的发光二极管等,我想特别提一下虚拟扬声器。   如图所示是用555时基电路...

multisim元器件符号解读

2024-03-19 10:41:07

multisim8multisim 2009-12-24 22:20:09 阅读21 评论0字号:大中小 SOURCE电源按钮1.POWER-SOURCES电源2.SIGNAL-VOLTAG信号电压源3.SIGNAL-CURREN信号电流源4.CONTROL-FUNCT控制函数器件5.CONTROL-VO控制电压源6.CONTROL-CU控制电流源BASIC基本元件按钮1.   ...

multisim常见元件简介

2024-03-19 10:37:10

multisim 元件库1.点击 放置信号源”按钮,弹出对话框中的 系列”栏如图2所示。电源信号电翩 控制函魏器件电压揑源电流住源 (1).选中 电源(POWER_SOURCES) ”,其 元件”栏下内容如图3所示:交涛範源 直流电源 数字地 地线韭理想电源 星形三相电源 三角昭三相电源 7TL 电源 CMOS 电源ITL 牝诵 CMOS地端 *?_FOUES  X_E0*ER ...

最全的Multisim库

2024-03-19 10:32:18

Multisim元件库分类介绍默认分类 2009-12-14 11:46:37 阅读296 评论0 字号:大中小  电子仿真软件“Mumsim8.3.30特殊版”的元件库中把元件分门别类地分成13个类别,每个类别中又有许多种具体的元器件,为便于读者在创建仿真电路时寻元器件,现将电子仿真软件“Mumsim8.3.30特殊版”元件库和元器件的中文译意整理如下,供读者参考。  &n...

Multisim10常用元件库分类

2024-03-19 10:31:52

二、Multisim10常用元件库分类图1    1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。      图2    (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示:               ...

Multisim简介及使用

2024-03-19 10:31:18

1Multisim 12简介及使用1.1Multisim简介1.1.1Multisim概述NI Multisim是一款著名的电子设计自动化软件,与NI Ultiboard同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。multisim元件对照表Multisim是以...

multisim元器件

2024-03-19 10:29:16

项目10  Multisim7的元器件和仪器仪表 10.1 Multisim7的元器件multisim元件对照表Multisim7提供了非常丰富的元器件,给电路设计和仿真实验带来了极大的便利。元件库分为两大类:虚拟元件库和真实元件库。虚拟元件库用兰绿图标,元件的参数可以随意调整;真实元件库用黑图标,元件的参数已经确定,是不可以改变的。虚拟元器件分10族,真实元器件分13族,每一族又分...

Multisim和Matlab在电路功率分析中的应用

2024-03-19 08:44:32

创新观察—326—Multisim 和Matlab 在电路功率分析中的应用黄勇超(广州南洋理工职业学院 智能工程学院,广东 广州 510900)引言:功率是电路元件的一个重要参数,电阻耗能属于有功功率,电感、电容储能属于无功功率。功率表常用于测量元件功率并判断元件吸能还是放能,功率因数反映了电能在电路元件中的使用效率。常规的实验教学中,电路元件的功率在实验室测试,比较费时间。Multisim 的虚...

multisim元器件符号

2024-03-19 08:42:52

multisim8multisim 2009-12-24 22:20:09 阅读21 评论0字号:大中小 SOURCE电源按钮1.POWER-SOURCES电源2.SIGNAL-VOLTAG信号电压源3.SIGNAL-CURREN信号电流源4.CONTROL-FUNCT控制函数器件5.CONTROL-VO控制电压源6.CONTROL-CU控制电流源BASIC基本元件按钮1.   ...

multisim 11的元件查方法及器件表

2024-03-19 08:41:41

Multisim8.0中的元件库和元器件电子仿真软件“Mumsim8.3.30特殊版”的元件库中把元件分门别类地分成13个类别,每个类别中又有许多种具体的元器件,为便于读者在创建仿真电路时寻元器件,现将电子仿真软件“Mumsim8.3.30特殊版”元件库和元器件的中文译意整理如下,供读者参考。电子仿真软件Mumsim8.3.30特殊版的元件工具条如图1所示。图11.点击“放置信号源”按钮,弹出对...

Multisim元件库分类介绍

2024-03-19 08:40:51

Multisim元件库分类介绍默认分类 2009-12-14 11:46:37 阅读296 评论0 字号:大中小  电子仿真软件“Mumsim8.3.30特殊版”的元件库中把元件分门别类地分成13个类别,每个类别中又有许多种具体的元器件,为便于读者在创建仿真电路时寻元器件,现将电子仿真软件“Mumsim8.3.30特殊版”元件库和元器件的中文译意整理如下,供读者参考。  &n...

multisim示波器的使用方法

2024-03-19 08:39:58

共基极放大器电子仿真软件MultiSIM 9中的虚拟示波器使用方法默认分类 ??2009-04-11 12:59 ??阅读330???评论0 ? 字号: 大? 中? 小 ? 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“Tekt...

Multisim环境中正弦交流量相位差仿真测量研究

2024-03-19 08:31:37

Multisim环境中正弦交流量相位差仿真测量研究  摘要:交流量相位差的测量是交流电路分析的难点。Multisim仿真软件环境中提供了虚拟瓦特表、虚拟示波器、测量探针等多种交流量相位差的测量方法。测量探针法操作简单,测量结果直观易得。        关键词:电工  EDA  相位差       &...

multisim10示波器的 使用方法

2024-03-19 08:22:46

共基极放大器 电子仿真软件MultiSIM 9 中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号: 大 中 小 在电子仿真软件MultiSIM 9 中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的 先迚示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰兊”公司的 虚拟数字存贮示波器“Tektr...

multisim10示波器的使用方法

2024-03-19 08:22:31

  共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法默认分类   2009-04-11 12:59   阅读330   评论0   字号: 大大  中中  小小    在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有...

multisim元件查

2024-03-19 08:19:40

百度空间 | 百度首页  | 登录                 §★cly的空间★ 火☆云※※※※※※我不去想是否能够成功 既然选择了远方 便只顾风雨兼程※※※※※※主页博客相册|个人档案 |好友  查看文章 Multisim8.0中的元件库和元器件电子仿真软件“M...

最新文章