一、填空题: 
1、 FPGA结构一般分为三部分:可编程逻辑块(CLB)、可编程I/O模块和可编程内部连线。 
2、 CPLD的内部连线为连续式布线互连结构,任意一对输入、输出端之间的延时是固定 ;FPGA的内部连线为分段式布线互连结构,各功能单元间的延时不定(不可预测)。 
3、 大规模可编程器件主要有CPLD和FPGA两类,其中CPLD通过可编程乘积项逻辑实现其逻辑功能。 基于SRAM的FPGA器件,每次上电后必须进行一次配置。FPGA内部阵列的配置一般采用在电路可重构技术,编程数据保存在静态存储器(SRAM) ,掉电易失。 
4、 目前世界上有十几家生产CPLD/FPGA的公司,最大的两家是:Altera,Xilinx。
 
5、 硬件描述语言(HDL)是EDA技术的重要组成部分,是电子系统硬件行为描述、结构描述、数据流描述的语言,它的种类很多,如VHDL、Verilog HDL、AHDL
 
6、 WHEN_ELSE条件信号赋值语句 和 IF_ELSE顺序语句的异同: 
   * WHEN_ELSE条件信号赋值语句中无标点,只有最后有分号;必须成对出现;是并行语句,必须放在结构体中。    * IF_ELSE顺序语句中有分号;是顺序语句,必须放在进程中
 
7、 可编程逻辑器件设计输入有原理图输入、硬件描述语言输入和波形输入三种方式。原理图输入方式是一种最直接的设计描述方式,波形设计输入适用于时序逻辑和有重复性的逻辑函数。 硬件描述语言的突出优点是: 
   * 语言与工艺的无关性;语言的公开可利用性,便于实现大规模系统的设计; 
   * 具有很强逻辑描述和仿真功能,而且输入效率高,在不同设计输入库之间的转换非常方便,用不着对底层的电路和PLD结构的熟悉。
  8、 用VHDL/Veilog HDL语言开发可编程逻辑电路的完整流程:文本编辑→功能仿真→逻辑综合→布局布线→时序仿真。 
*所谓综合,就是根据设计功能和实现该设计的约束条件(如面积、速度、功耗和成本等),将设计输入转换成满足要求的电路设计方案,该方案必须同时满足与其的功能和约束条件。综合的过程也是设计目标的优化过程,其目的是将多个模块化设计文件合并为一个网表文件,供布局布线使用,网表中包含了目标器件中的逻辑单元和互连的信息。 
*布局布线就是根据设计者指定的约束条件(如面积、延时、时钟等)、目标器件的结构资源和工艺特性,以最优的方式对逻辑元件布局,并准确地实现元件间的互连,完成实现方案(网表)到使实际目标器件(FPGA或CPLD)的变换。
/* 
9、 基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试。 * 综合是EDA设计的关键步骤,综合就是将电路的高级语言转换成低级的,可与FPGA/CPLD相映射的功能网表文件。为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 10、构成一个完整的VHDL语言程序的五个基本结构: 
实体(ENTITY)、 结构体(ARCHITECURE)、  配置(CONFIGURATION)  、  库(LIBRARY)  、  程序包 (PACKAGE) 。 
*实体的由实体说明和结构体两部分组成。实体说明部分用于描述所设计系统的外部端口信号和参数的属性和设置,而结构体部分则定义了设计单元的具体功能、行为、数据流程或内部结构。 
*结构体的三种描述方式,即行为级描述、数据流级描述和结构级描述。 *结构体通常由结构体名称、定义语句和并行处理语句构成。 *程序包用于存放各设计模块能共享的数据类型、常数、子程序等。 
*库用于存放已编译的实体、结构体、程序包和配置,可以通过其目录进行查询和调用。在VHDL语言中,可以存在多个不同的库,但是库与库之间是独立的,不能互相嵌套。它可由用户生成或由ASIC芯片制造商提供,以便于在设计中为大家所共享。 
*库用于存放已编译的实体、结构体、程序包和配置,可以通过其目录进行查询和调用。在VHDL语言中,可以存在多个不同的库,但是库与库之间是独立的,不能互相嵌套。它可由用户生成或由ASIC芯片制造商提供,以便于在设计中为大家所共享。  常用库: 
(1)IEEE库:IEEE库主要包括std_logic_1164、numeric_bit、numeric_std等程序包,还有一些程序包非IEEE标准,但并入IEEE库,如std_logic_arich、std_logic_unsigned、std_logic_signed。使用IEEE程序包,必须声明。 (2) std库:包含 standard   textio程序包。Std库符合IEEE标准,应用中不必声明。 (3) work库: 用户的VHDL设计先行工作库。 
(4) vital 库:包含时序程序包vital_timing和vital_primitives。设计开发过程通常不用,每个设计实体都必须有各自完整的库说明语句和use语句。Use语句的使用将使说明的程序包对本设计实体部分全部开放,即是可视的。
/*
 
11、VHDL的数据对象包括常量(constant)、 变量(varuable) 和 信号(signal) ,它们是用来存放各种类型数据的容器。 
12、在VHDL的端口声明语句中,端口方向包括 in 、out 、buffer 、inout、linkage 。
“BUFFER”为缓冲端口,与OUT类似,只是缓冲端口允许实体内部使用该端口信号,它可以用于输出,也可以用于端口信号的反馈。当一个结构体用“BUFFER”说明输出端口时,与其连接的另一个结构体的端口也要用BUFFER说明。
以“LINKAGE”定义的端口不指定方向,无论哪个方向的信号都可以连接。
 13、VHDL的PROCESS(进程)语句是由顺序语句 组成的,但其本身却是并行语句 。 
14、VHDL的子程序有 过程(PROCEDURE)  和 函数(FUNCTION) 两种类型,具有可重载性特点。
 
15、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是 .vwf ;使用VHDL语言,文本设计文件的扩展名是 .vhd ;自建元件图形符号文
件的扩展名.bsf;资源分配说明文件扩展名.qsf,用文本打开它可以修改引脚编号;逻辑综合会生成.edf文件;双击.qpf文件可启动QuartusII并打开已有工程。 
16、图形编辑中模块间的连线有三种形式: 节点线 、总线和管道线 
17、Quartus编译器编译FPGA工程最终生产两种不同用途的文件,它们分别是.sof和.pof。sof是SRAM Object File,下载到FPGA中,断电丢失。pof是Programmer Object File,下载到配置芯片中,上电重新配置FPGA。 
18、FPGA过程中的仿真有三种:行为仿真、逻辑仿真、时序仿真。
 
19、IP核在EDA技术和开发中占有很重要地位,提供VHDL硬件描述语言功能块,但不涉及实现该功能模块的具体电路的IP核为软件IP。 
二、名词解释,写出下列缩写的中文(或者英文)含义: 
1. FPGA  Field-Programmable Gate Array 现场可编程门阵列 
2  VHDL  Very-High-Speed Integrated Circuit Hardware Description Language)   甚高速集成电路硬件描述语言 
3  HDL Hardware Description Language硬件描述语言     
5  CPLD  Complex Programmable Logic Device复杂可编程逻辑器件 
6  PLD   Programmable Logic Device 可编程逻辑器件     
7  GAL  generic array logic通用阵列逻辑 
8. LAB   Logic Array Block逻辑阵列块                 
9. CLB Configurable Logic Block  可配置逻辑模块 
10  EAB   Embedded Array Block 嵌入式阵列块         
11  SOPC  System-on-a-Programmable-Chip  可编程片上系统 
12. LUT   Look-Up Table 查表                     
13. JTAG  Joint Test Action Group 联合测试行为组织 
14. IP    Intellectual Property 知识产权         
15  ASIC  Application Specific Integrated Circuits  专用集成电路 
16  ISP   In System Programmable  在系统可编程       
17  ICR   In Circuit Re-config  在电路可重构 
18  RTL   Register Transfer Level 寄存器传输级   
19  EDA   Electronic Design Automation  电子设计自动化 
三、选择题: 
1. 基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:(D) 
模块化设计名词解释   A.①②③④ B.②①④③ C.④③②① D.②④③① 
2.  执行Quartus II的( B )命令,可以检查设计电路错误。 
A  Create Default Symbol     B  Compiler----编译   C  Simulator ----时序仿真     D  Timing An
alyzer ---时序分析 
3. 在设计输入完成后,应立即对设计文件进行( C  )。     
4.A编辑   B 编译     C 功能仿真   D时序仿真 
4. 在VHDL中用( C  )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。 
A输入   B 输出   C综合   D配置 
 5 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化)及提高运行速度(即速度优化),下列方法( A )不属于面积优化。 
A 流水线设计  B 资源共享  C 逻辑优化  D 串行化 
6 不完整地IF语句,其综合结果可实现(  ) 
A 时序逻辑电路  B 组合逻辑电路  C 双向电路   D 三态控制电路 
7. 下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的(  )。     
A. 原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;