Modelsim中常用的Tcl命令:
一.执行tcl
do ./l
二.编译
1.       vlog
vlog testbench.v
vlog –work work testbench.v
 
三.启动仿真
1.       vsim
stbench
vsim –work work testbench
四.将信号加入波形
add wave *
view wave
五.执行仿真
1.       run
run 1000
run  –all  //run to finish
run // 默认run 100ns
六.退出仿真
quit -sim

Tcl模板
>>>####    ModelSim TCL    >>>>####
>>>####  Created by Zhiwei.Liu   >>>>###
 
> Create the Project/Lib >
#vlib work
# map the library
#vmap work work
 
> Compile the verilog >
vlog testbench.v
 
> Start Simulation >
stbench
add wave -binary clk rst
add wave -unsigned random c_count
run 990
 
> Quit the Simulation >
# quit –sim
 
为方便工程管理,我建了三个文件夹来存放工程。
sim:存放批处理文件,modelsimdo文件和存相对路径的文件
core:存放源代码
data:产生仿真的数据
下面开始写批处理文件与do文件
1:新建文件,改名为sim.bat
   在这个文件里输入代码:vsim -do sim.do
2.新建文件,改名为path.f
   在这个文件中输入要仿真的源代码的相对路径:
  ../core/test.v
  ../core/tb.v
2.新建sim.do文件,tcl脚本文件,用于控制modelsim仿真
  输入代码:
  #带#号为注释部分
  #建立工作库并映射工作库
  vlib work
  vmap work work
 
  #编译verilog源代码
批处理文件注释
  vlog -f path.f
  #编译systemc代码
  vccom -f path.f
  #连接systemc代码
  vccom -link
 
  #生成波形文件wlf
  vsim -wlf test.wlf tb
 
  #添加信号波形
  add wave *
 
  #开始仿真
  run 200 ms
 
  #结束仿真
  quit -sim
操作流程:
直接进入sim文件夹下运行sim.bat文件即可按照上述代码流程控制modelsim
 
下面就结合实例简要说明操作步骤:
1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v
2、编写.do文件(camera_tb.do),内容如下:
# Create the work library
vlib work
vmap work work
# Compile the verilog files
vlog -work work camera.v
vlog -work work camera_tb.v
# Run simulation
vsim -lib work camera_tb
view wave
add wave sim:/camera_tb/*
run 55ms

发表评论